Extreme UV lithography could finally be commercially ready in 2015 with 13.5 nm wavelength and making 10 nm chips

Representatives from EUV machine manufacturer ASML outlined a concrete plan that will put machines into the production lines of wafer fabs. With some boosts in laser power and a few other adjustments, the company now expects the workhorse EUV machines to be ready by 2015. That should be just in time to pattern the tiny transistors in the industry’s 10-nanometer node, the generation after the next generation of logic chips.

EUV machines use 13.5-nm light to draw far finer features than today’s 193-nm lithography machines can create. But the insufficient brightness of the light source has made commercialization difficult. The dimmer the light, the longer each wafer must be exposed, and the longer it takes to make each chip.

ASML’s goal is to eventually produce 125 wafers per hour with its first production-level machine, the NXE:3300, which is shipping this year. At that rate, ASML expects that 250 watts of EUV light will be required.

In February, lithography light-source maker Cymer announced that researchers there had pushed light levels up to 55 W in one of ASML’s previous-generation machines, the “preproduction” NXE:3100. At that level of brightness, the machine would be capable of exposing 43 wafers per hou

ASML’s director of strategic marketing, says many of the major kinks in EUV light production have been worked out and that the company is more confident the light source brightness can be boosted all the way to 250 W. “We can now build systematically a plan to get to 250 W,” Miller says.

Cymer, which ASML acquired for US $3.7 billion earlier this year, uses an approach called laser-produced plasma to create EUV light. Falling droplets of molten tin are vaporized by a carbon dioxide laser. As the tin cools and its excited electrons relax, EUV light is emitted and then used to cast patterns on a wafer.

Miller says the company was able to achieve a big boost in brightness by first hitting each tin droplet with a lower-power laser. This “prepulse” flattens the droplet into a pancake shape, increasing its surface area and boosting the amount of material that is ultimately heated by the main laser. Miller says this approach can be ported over to the company’s 3300 machine, which incorporates a higher-power laser, and that ASML should be able to demonstrate as much as 80 W by the end of the year.

Here is a presentation on the work to achieve 9nm lithography using EUV.

But in case of EUV disappointing again. E-beam and Directed Assembly

Some firms are exploring other technologies that could help improve 193-nm patterning, should EUV delays continue. CEA-Leti, a research consortium based in Grenoble, France, is experimenting with two leading alternatives: e-beam lithography, which shoots electrons directly at a wafer to draw features, and directed self-assembly, which relies on the self-arrangement of polymers into nanoscale patterns. (Imec is also researching the latter approach.)

Directed self-assembly might be useful for only a certain subset of tasks. E-beam could, in principle, be used instead of photolithography, but its development has lagged. “E-beam lacks the support of the industry,” says Serge Tedesco, manager of Leti’s lithography program. “I think if [it had] real support 10 years ago and money had been put into it, we would have a solution today.”

With a better electron source, an e-beam machine should ultimately be able to transmit 13 000 electron beams, enough to expose 10 wafers an hour. By cobbling 10 of these systems together, the firm hopes to create an e-beam machine capable of processing 100 wafers per hour. The target is for 2016 for e-beam

If you liked this article, please give it a quick review on ycombinator or StumbleUpon. Thanks

Extreme UV lithography could finally be commercially ready in 2015 with 13.5 nm wavelength and making 10 nm chips

Representatives from EUV machine manufacturer ASML outlined a concrete plan that will put machines into the production lines of wafer fabs. With some boosts in laser power and a few other adjustments, the company now expects the workhorse EUV machines to be ready by 2015. That should be just in time to pattern the tiny transistors in the industry’s 10-nanometer node, the generation after the next generation of logic chips.

EUV machines use 13.5-nm light to draw far finer features than today’s 193-nm lithography machines can create. But the insufficient brightness of the light source has made commercialization difficult. The dimmer the light, the longer each wafer must be exposed, and the longer it takes to make each chip.

ASML’s goal is to eventually produce 125 wafers per hour with its first production-level machine, the NXE:3300, which is shipping this year. At that rate, ASML expects that 250 watts of EUV light will be required.

In February, lithography light-source maker Cymer announced that researchers there had pushed light levels up to 55 W in one of ASML’s previous-generation machines, the “preproduction” NXE:3100. At that level of brightness, the machine would be capable of exposing 43 wafers per hou

ASML’s director of strategic marketing, says many of the major kinks in EUV light production have been worked out and that the company is more confident the light source brightness can be boosted all the way to 250 W. “We can now build systematically a plan to get to 250 W,” Miller says.

Cymer, which ASML acquired for US $3.7 billion earlier this year, uses an approach called laser-produced plasma to create EUV light. Falling droplets of molten tin are vaporized by a carbon dioxide laser. As the tin cools and its excited electrons relax, EUV light is emitted and then used to cast patterns on a wafer.

Miller says the company was able to achieve a big boost in brightness by first hitting each tin droplet with a lower-power laser. This “prepulse” flattens the droplet into a pancake shape, increasing its surface area and boosting the amount of material that is ultimately heated by the main laser. Miller says this approach can be ported over to the company’s 3300 machine, which incorporates a higher-power laser, and that ASML should be able to demonstrate as much as 80 W by the end of the year.

Here is a presentation on the work to achieve 9nm lithography using EUV.

But in case of EUV disappointing again. E-beam and Directed Assembly

Some firms are exploring other technologies that could help improve 193-nm patterning, should EUV delays continue. CEA-Leti, a research consortium based in Grenoble, France, is experimenting with two leading alternatives: e-beam lithography, which shoots electrons directly at a wafer to draw features, and directed self-assembly, which relies on the self-arrangement of polymers into nanoscale patterns. (Imec is also researching the latter approach.)

Directed self-assembly might be useful for only a certain subset of tasks. E-beam could, in principle, be used instead of photolithography, but its development has lagged. “E-beam lacks the support of the industry,” says Serge Tedesco, manager of Leti’s lithography program. “I think if [it had] real support 10 years ago and money had been put into it, we would have a solution today.”

With a better electron source, an e-beam machine should ultimately be able to transmit 13 000 electron beams, enough to expose 10 wafers an hour. By cobbling 10 of these systems together, the firm hopes to create an e-beam machine capable of processing 100 wafers per hour. The target is for 2016 for e-beam

If you liked this article, please give it a quick review on ycombinator or StumbleUpon. Thanks